搜索
高级检索
高级搜索
书       名 :
著       者 :
出  版  社 :
I  S  B  N:
文献来源:
出版时间 :
Xilinx FPGA设计权威指南:Vivado基础设计环境:based on vivado integrated development environment
0.00    
图书来源: 浙江图书馆(由图书馆配书)
  • 配送范围:
    全国(除港澳台地区)
  • ISBN:
    9787302366881
  • 作      者:
    何宾编著
  • 出 版 社 :
    清华大学出版社
  • 出版日期:
    2014
收藏
编辑推荐

  Vivado是全球著名的可编程逻辑器件厂商Xilinx推出的新一代集成开发环境,其设计理念与其前身ISE相比有着显著的进步:更加强调以IP为中心的系统级设计思想;允许设计者在多个方案中探索zui优的实现方法;提供了更高效的时序收敛能力;提供设计者对FPGA布局布线高效的控制能力等。另外,高级综台工具-Vivado HLS也是Vivado集成设计环境的一大亮点,使得设计者可以使用高级编程语言对FPGA设计进行建模,并通过高级综合工具HLS将设计模型自动转换成RTL级的描述。
  《EDA工程技术丛书·Xilinx FPGA设计quanwei指南:Vivado集成设计环境》从逻辑设计、嵌入式系统设计、数字信号处理等不同的应用角度,通过典型的设计实例,由浅入深地论述了Vivado的设计理念和设计方法;使读者通过对Vivado设计工具的系统学习,掌握其设计思想精髓,提高FPGA设计效率。
  主要内容
  ·Vivado设计导论
  ·Vivado调试流程
  ·Vivado HLS信号处理流程
  ·Vivado部分可重配置设计流程
  ·Vivado工程模式和非工程模式设计流程
  ·基于IP的嵌入式系统设计流程
  ·System Generator设计流程
  ·Vivado高级设计技术

展开
作者简介

  何宾,长期从事数字系统EDA方面教学与科研工作,在EDA教学与科研方面积累了丰富的经验。同时,与Xilinx、Cypress、Altium、MathWorks等知名企业建立了良好的合作关系,极力推动新EDA设计技术在国内高校和业界的普及。目前,已出版《EDA原理及Verilog实现》、《EDA原理及VHDL实现》、《XilinxAllProgrammable2ynq-7000SoC设计指南》等20余部广受好评的EDA技术图书。

展开
内容介绍

  本书全面系统地介绍了Xilinx新一代集成开发环境Vivado的设计方法、设计流程和具体实现。全书共分8章,内容包括:Vivado设计导论、Vivado工程模式和非工程模式设计流程、Vivado调试流程、基于IP的嵌入式系统设计流程、Vivado HLS设计流程、System Generator设计流程、Vivado部分可重配置设计流程和Vivado高级设计技术。本书参考了Xilinx公司提供的Vivado新设计资料,理论与应用并重,将Xilinx公司新的设计方法贯穿在具体的设计实现中。
  本书可作为使用Xilinx Vivado集成开发环境进行FPGA设计的工程技术人员的参考用书,也可作为电子信息类专业高年级本科生和研究生的教学用书,同时也可作为Xilinx公司的培训教材。

展开
目录
第1章 Vivado设计导论
1.1 Vivado系统级设计流程
1.2 Vivado功能和特性
1.3 Vivado使用模式
1.3.1 Vivado工程模式和非工程模式不同点比较
1.3.2 工程模式和非工程模式命令的不同
1.4 最新的UltraScale结构
1.4.1 可配置逻辑块
1.4.2 时钟资源和时钟管理单元
1.4.3 块存储器资源
1.4.4 专用的DSP模块
1.4.5 输入/输出块
1.4.6 高速串行收发器
1.4.7 PCI-E模块
1.4.8 Interlaken集成块
1.4.9 Ethernet模块
1.4.1 0系统监控器模块
1.4.1 1配置模块
1.4.1 2互连资源

第2章 Vivado工程模式和非工程模式设计流程
2.1 工程模式设计流程
2.1.1 启动Vivado集成开发环境
2.1.2 建立新的设计工程
2.1.3 Vivado设计主界面及功能
2.1.4 创建并添加一个新的设计文件
2.1.5 RTL描述和分析
2.1.6 设计综合和分析
2.1.7 设计行为级仿真
2.1.8 添加约束条件
2.1.9 XDC约束语法规则
2.1.1 0设计实现和分析
2.1.1 1设计时序仿真
2.1.1 2生成编程文件
2.1.1 3下载比特流文件到FPGA
2.2 非工程模式设计流程
2.2.1 修改路径
2.2.2 设置输出路径
2.2.3 设置设计源文件和约束
2.2.4 运行综合
2.2.5 运行布局
2.2.6 运行布线
2.2.7 生成比特流文件

第3章 Vivado调试流程
3.1 设计调试原理和方法
3.2 创建新的FIFO调试工程
3.3 添加FIFOIP到设计中
3.4 添加顶层设计文件
3.5 例化FIFO
3.6 添加约束文件
3.7 网表插入调试探测流程方法及实现
3.7.1 网表插入调试探测流程的方法
3.7.2 网表插入调试探测流程的实现
3.8 使用添加HDL属性调试探测流程
3.9 使用HDL例化调试核调试探测流程

第4章 基于IP的嵌入式系统设计流程
4.1 简单硬件系统设计
4.1.1 创建新的工程
4.1.2 使用IP集成器创建处理器系统
4.1.3 生成顶层HDL和导出设计到SDK
4.1.4 创建存储器测试程序
4.1.5 验证设计
4.2 在PL内添加外设
4.2.1 打开工程
4.2.2 添加两个GPIO实例
4.2.3 连接外部GPIO外设
4.2.4 生成比特流和导出到SDK
……
第5章 Vivado HLS设计流程
第6章 System Generator设计流程
第7章 Vivado部分可重配置设计流程
第8章 Vivado高级设计技术
附录 XDC中有效的命令
展开
加入书架成功!
收藏图书成功!
我知道了(3)
发表书评
读者登录

请选择您读者所在的图书馆

选择图书馆
浙江图书馆
点击获取验证码
登录
没有读者证?在线办证